Vega VEGABAR 54 Profibus PA Bedienungsanleitung Seite 56

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 72
  • Inhaltsverzeichnis
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen
Seitenansicht 55
56
10 Appendice
VEGABAR 54 • Probus PA
36729-IT-130321
AI (PA-OUT)
Temperatura
Free Place
Byte-No.
1234 5678910
Format
Value
IEEE-754-
Floating point value
PA-OUT
(FB1)
Status
Status
(FB1)
IEEE-754-
Floating point value
Temperature
(FB2)
Status
Status
(FB2)
Figura 26: Struttura del messaggio esempio 2
Esempio 3 con valore di pressione e valore ciclico supplementare senza valore di temperatura.
AI (PA-OUT)
Free Place
Additional Cyclic Value
Byte-No.
1234 5 6789 10
Status
Status
Status
Status
(FB1)
IEEE-754-
Floating point value
PA-OUT
(FB1)
IEEE-754-
Floating point value
Additional Cyclic
Value
Format
Value
Figura 27: Struttura del messaggio esempio 3
Formato dati del segnale d'uscita
Byte4
Byte3
Byte2
Byte1
Byte0
Status Value (IEEE-754)
Figura 28: Formato dati del segnale d'uscita
Il byte di stato é codicato e corrisponde al prolo 3,0 "Probus PA Prole for Process Control Devi-
ces". Lo stato "Valore di misura OK" é codicato come 80 (hex) (Bit7 = 1, Bit6 … 0 = 0).
Il valore di misura sarà trasmesso come numero da 32 bit a virgola mobile in formato IEEE-754.
Byte n
Byte n+1
Bit
7
VZ
Exponent
Bit
6
2
Bit
5
2
Bit
4
2
Bit
3
2
Bit
2
2
Bit
1
2
Bit
0
2
Bit
7
2
Bit
6
2
Bit
5
2
Bit
4
2
Bit
3
2
Bit
2
2
Bit
1
2
Bit
0
2
Sign
Bit
Significant
7
65
4
3
21
0
-1
-2
-3
-4
-5
-6 -7
Byte n+2
Byte n+3
Bit
7
2
Significant
Bit
6
2
Bit
5
2
Bit
4
2
Bit
3
2
Bit
2
2
Bit
1
2
Bit
0
2
Bit
7
2
Bit
6
2
Bit
5
2
Bit
4
2
Bit
3
2
Bit
2
2
Bit
1
2
Bit
0
2
Significant
-9
-10 -11
-12
-13
-14 -15
-16
-17
-18
-19
-20
-21
-22
-23
-8
Value = (-1)
VZ
2
(Exponent - 127)
(1 + Significant)
Figura 29: Formato dati del valore di misura
CodicadelbytedistatopervaloreinuscitaPA
Codice
di stato
Descrizione secondo norma
Probus
Possibilecausa
0 x 00 bad - non-specic Flash-Update attivo
Seitenansicht 55
1 2 ... 51 52 53 54 55 56 57 58 59 60 61 ... 71 72

Kommentare zu diesen Handbüchern

Keine Kommentare