Vega VEGABAR 55 Profibus PA Bedienungsanleitung Seite 60

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 72
  • Inhaltsverzeichnis
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen
Seitenansicht 59
60
10 Annexe
VEGABAR 55 • Probus PA
36735-FR-130410
Short pour maîtres Probus qui assistent uniquement un octet "Identier Format" - par
exemple Allen Bradley
Long pour maîtres Probus qui n'assistent que l'octet "Identier Format" - par
exemple Siemens S7-300/400
Exemples de structure de message
Vous trouverez à la suite des exemples de combinaisons de modules et leurs structures de mes-
sage respectives.
Exemple 1 (réglage standard) avec valeur pression, valeur température et valeur cyclique supplé-
mentaire :
AI (PA-OUT)
Température
Additional Cyclic Value
Byte-No.
1234 567891011121314
15
Format
Value
IEEE-754-
Floating point value
PA-OUT
(FB1)
Status
Status
(FB1)
IEEE-754-
Floating point value
Temperature
(FB2)
IEEE-754-
Floating point value
Additional Cyclic
Value
Status
Status
(FB2)
Status
Status
Fig. 31: Structure d'un message exemple 1
Exemple 2 avec valeur pression et valeur température sans valeur cyclique supplémentaire :
AI (PA-OUT)
Température
Free Place
Byte-No.
1234 5678910
Format
Value
IEEE-754-
Floating point value
PA-OUT
(FB1)
Status
Status
(FB1)
IEEE-754-
Floating point value
Temperature
(FB2)
Status
Status
(FB2)
Fig. 32: Structure d'un message exemple 2
Exemple 3 avec valeur pression et valeur cyclique supplémentaire sans valeur température :
AI (PA-OUT)
Free Place
Additional Cyclic Value
Byte-No.
1234 5 6789 10
Status
Status
Status
Status
(FB1)
IEEE-754-
Floating point value
PA-OUT
(FB1)
IEEE-754-
Floating point value
Additional Cyclic
Value
Format
Value
Fig. 33: Structure d'un message exemple 3
Format des données du signal de sortie
Byte4
Byte3
Byte2
Byte1
Byte0
Status Value (IEEE-754)
Fig. 34: Format des données du signal de sortie
L'octet d'état est codé et correspond au prol 3,0 "Probus PA Prole for Process Control Devices".
Seitenansicht 59
1 2 ... 55 56 57 58 59 60 61 62 63 64 65 ... 71 72

Kommentare zu diesen Handbüchern

Keine Kommentare