Vega VEGABAR 55 Profibus PA - climate compensated Bedienungsanleitung Seite 59

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 72
  • Inhaltsverzeichnis
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen
Seitenansicht 58
59
10 Anhang
VEGABAR 55 • Probus PA - klimakompensiert
39442-DE-130311
Die Module gibt es in zwei Ausführungen:
Short für Probusmaster, die nur ein „Identier Format“-Byte unterstützen, z. B. Allen
Bradley
Long für Probusmaster, die nur das „Identier Format“-Byte unterstützen, z. B. Sie-
mens S7-300/400
Beispiele für den Telegrammaufbau
Im folgenden sind Beispiele dargestellt, wie die Module kombiniert werden können und wie das
dazugehörige Datentelegramm aufgebaut ist.
Beispiel 1 (Standardeinstellung) mit Druckwert, Temperaturwert und zusätzlichem zyklischen Wert:
AI (PA-OUT)
Temperatur
Additional Cyclic Value
Byte-No.
123456789 10 11 12 13 14
15
Format
Value
IEEE-754-
Flieskommazahl
PA-OUT
(FB1)
Status
Status
(FB1)
IEEE-754-
Flieskommazahl
Te mperature
(FB2)
IEEE-754-
Flieskommazahl
Additional Cyclic
Value
Status
Status
(FB2)
Status
Status
Abb. 31: Telegrammaufbau Beispiel 1
Beispiel 2 mit Druckwert und Temperaturwert ohne zusätzlichen zyklischen Wert:
AI (PA-OUT)
Temperatur
Free Place
Byte-No.
1234 56789 10
Format
Value
IEEE-754-
Flieskommazahl
PA-OUT
(FB1)
Status
Status
(FB1)
IEEE-754-
Flieskommazahl
Te mperature
(FB2)
Status
Status
(FB2)
Abb. 32: Telegrammaufbau Beispiel 2
Beispiel 3 mit Druckwert und zusätzlichem zyklischen Wert ohne Temperaturwert:
AI (PA-OUT)
Free Place
Additional Cyclic Value
Byte-No.
1234 5 6789 10
Status
Status
Status
Status
(FB1)
IEEE-754-
Fliesskommazahl
PA-OUT
(FB1)
IEEE-754-
Fliesskommazahl
Zustzlicher
zyklischer Wert
Format
Value
Abb. 33: Telegrammaufbau Beispiel 3
Datenformat des Ausgangssignals
Byte4
Byte3
Byte2
Byte1
Byte0
Status Value (IEEE-754)
Abb. 34: Datenformat des Ausgangssignals
Das Statusbyte entspricht dem Prol 3,0 "Probus PA Prole for Process Control Devices" codiert.
Seitenansicht 58
1 2 ... 54 55 56 57 58 59 60 61 62 63 64 ... 71 72

Kommentare zu diesen Handbüchern

Keine Kommentare